王时雨, 贺占庄, 张盛兵. 基于FPGA的以太网MAC控制器的实现[J]. 微电子学与计算机, 2012, 29(2): 171-175.
引用本文: 王时雨, 贺占庄, 张盛兵. 基于FPGA的以太网MAC控制器的实现[J]. 微电子学与计算机, 2012, 29(2): 171-175.
WANG Shi-yu, HE Zhan-zhuang, ZHANG Sheng-bing. Design of MAC Controller Based on FPGA[J]. Microelectronics & Computer, 2012, 29(2): 171-175.
Citation: WANG Shi-yu, HE Zhan-zhuang, ZHANG Sheng-bing. Design of MAC Controller Based on FPGA[J]. Microelectronics & Computer, 2012, 29(2): 171-175.

基于FPGA的以太网MAC控制器的实现

Design of MAC Controller Based on FPGA

  • 摘要: 以太网IEEE802.3协议根据LAN的特点,把数据链路层分成LLC(逻辑链路控制)和MAC(介质访问控制)两个子层.MAC层协议作为数据帧收发的基础,是以太网技术的核心,主要负责上层数据和物理层的数据流量控制和数据流的检测、校验工作.介绍了基于FPGA的10MHz/100MHz以太网MAC控制器的设计,整个设计用Verilog语言实现.自主设计开发验证板,使用Altera厂商的FPGA(EP1C20F400C8)并验证.

     

    Abstract: Ethernet ieee802.3 agreements on the basis of the lan,Data link layer depart into LLC and MAC two layers.The MAC agreement is basis of receive data frame or send data frame,is the core of the ethernet technology,It is mainly responsible for the data and the physical layer data traffic control and data of the inspection and verification.This passage introduce design of 10 MHz/100 MHz MAC controller,Use Verilog language designed for all.Design the Validation board by myself.Use the FPGA(EP1C20F400C8)made by Altera to validate the function.

     

/

返回文章
返回